Բովանդակություն:

UART- ի ձևավորում VHDL- ում ՝ 5 քայլ
UART- ի ձևավորում VHDL- ում ՝ 5 քայլ

Video: UART- ի ձևավորում VHDL- ում ՝ 5 քայլ

Video: UART- ի ձևավորում VHDL- ում ՝ 5 քայլ
Video: Материнские платы объяснил 2024, Հուլիսի
Anonim
UART- ի ձևավորում VHDL- ում
UART- ի ձևավորում VHDL- ում

UART- ը նշանակում է ունիվերսալ ասինխրոն ընդունիչ հաղորդիչ: Այն սերիական հաղորդակցության ամենահայտնի և ամենապարզ արձանագրությունն է: Այս ուսանելի ծրագրում դուք կսովորեք, թե ինչպես նախագծել UART մոդուլ VHDL- ում:

Քայլ 1. Ի՞նչ է UART- ը:

Տարբեր ծայրամասային սարքերի հետ հաղորդակցվելու համար պրոցեսորները կամ վերահսկիչները սովորաբար օգտագործում են UART հաղորդակցություն: Դա պարզ և արագ սերիական հաղորդակցություն է: Քանի որ UART- ը նվազագույն պահանջ է գրեթե բոլոր պրոցեսորներում, դրանք սովորաբար նախագծվում են որպես Soft IP միջուկներ VHDL- ում կամ Verilog- ում `կրկին օգտագործելիության և ինտեգրման հեշտության համար:

Քայլ 2: Տեխնիկական պայմաններ

Նախագծված UART- ի բնութագրերը տրված են ստորև.

* Ստանդարտ UART ազդանշաններ:

* Կարգավորելի բաուդ փոխարժեքը 600-115200-ից:

* Նմուշառում = 8x @receiver

* FPGA ապացուցված դիզայն ՝ Xilinx Artix 7 տախտակի վրա:

* Փորձարկվել է UART- ի ծայրամասային սարքերի վրա, Hyperterminal- ը հաջողությամբ `բոլոր բաուդրատները

Քայլ 3: Դիզայնի մոտեցում

  1. Մենք նախագծելու ենք 3 մոդուլ, որոնք հետագայում ինտեգրվելու ենք UART- ը ավարտին հասցնելու համար:

    • Հաղորդիչի մոդուլ. Հոգ է տանում սերիական տվյալների փոխանցման մասին
    • Ստացողի մոդուլ. Հոգ է տանում սերիական տվյալների ընդունման մասին
    • Baud գեներատոր Մոդուլ. Հոգ է տանում Baud ժամացույցի ստեղծման մասին:
  2. Baud գեներատորի մոդուլը դինամիկ կարգավորելի է: Այն ստեղծում է երկու բաուդ ժամացույց հիմնական ժամացույցից `ըստ ցանկալի արագության: Մեկը ՝ հաղորդիչի, մյուսը ՝ ստացողի համար:
  3. Ստացողի մոդուլը օգտագործում է ընտրանքի արագությունը 8x ՝ նվազագույնի հասցնելու ընդունման սխալի հավանականությունը, այսինքն ՝ ընդունիչի բաուդ ժամացույցը 8x հաղորդիչ բաուդ ժամացույց է:
  4. Հսկիչ ազդանշաններ `փոխանցումը և ընդունումը վերահսկելու համար, ինչպես նաև ընդհատման ազդանշանը:
  5. Ստանդարտ UART սերիական ինտերֆեյս ՝ առանց պարիտետային բիտի, մեկ կանգառի և մեկնարկի բիթ, 8 տվյալների բիթ:
  6. Hostուգահեռ ինտերֆեյս հաղորդավարի, այսինքն ՝ պրոցեսորի կամ վերահսկիչի հետ հաղորդակցվելու համար, որը կերակրում և ստանում է զուգահեռ տվյալներ դեպի և UART- ից:

Քայլ 4: Սիմուլյացիայի արդյունքներ

Մոդելավորման արդյունքներ
Մոդելավորման արդյունքներ

Քայլ 5: Կից ֆայլեր

* UART հաղորդիչ մոդուլ -vhd ֆայլ

* UART ստացողի մոդուլ - vhd ֆայլ

* Baud գեներատորի մոդուլ - vhd ֆայլ

* UART մոդուլ - Վերևի մոդուլները ինտեգրող հիմնական վերին մոդուլը `vhd ֆայլ

* UART IP Core- ի ամբողջական փաստաթղթերը `pdf

Eriesանկացած հարցի համար ազատ զգալ կապվեք ինձ հետ ՝

Միտու Ռաջ

հետևեք ինձ.

Հարցերի համար դիմեք ՝ [email protected]

Խորհուրդ ենք տալիս: